26Jul 2017

AN IN-SITU TIMING-ERROR PREDICTION AND PREVENTION TECHNIQUE FOR VARIATION-TOLERANT MAC-UNIT.

  • Electrical Engineering Department, N.I.T. Kurukshetra, India.
  • IIT-Delhi, New Delhi.
Crossref Cited-by Linking logo
  • Abstract
  • Keywords
  • References
  • Cite This Article as
  • Corresponding Author

In this paper, a CANARY based Dynamic Voltage Scaling (DVS) technique is applied on a 17-bit Multiplier Accumulator (MAC) Unit in 0.55- nm technology to critically analyze the voltage safety margins and energy efficiency during the worst case of Process, Voltage and Temperature (PVT) conditions. The CANARY based DVS technique incorporates an inherent in situ error prediction feature, with a mechanism to prevent the errors to impact the Design under Test (DUT). In CANARY based DVS technique, the supply voltage is reduced automatically. Error prediction and prevention mechanism ensures correct operation and lesser voltage supply. Supply voltage is intentionally scaled down till the first failure point of MAC unit to reach at an optimal tradeoff [17] between voltage supply and energy consumption. It is observed from the simulation results that the energy savings up to 38.5% is achieved with CANARY based adaptive DVS as compared to classical DVS technique over the wide spectrum of functional frequency range. The SPICE platform is used for the detailed analysis and step by step implementation of CANARY based DVS technique.


  1. Borkar S, Karnik T, Narendra S, Tschanz J, Keshavarzi A, De V: Parameter Variations and Impact on Circuits and 40th Design Automation Conference (2003)
  2. Karnik T, Borkar S, De V: Sub-90nm Technologies: Challenges and Opportunities for CAD. International Conference on Computer Aided Design (2002)
  3. Unsal O S, Tschanz J W, Bowman K, De V, Vera X, Gonzalez A, Ergin O: Impact of Parameter Variations on Circuits and Microarchitecture, IEEE Micro, 26(6), (2006)
  4. Das S, Sanjay P, Roberts D, Lee L S, Blaauw D, Austin T, Mudge T, Flautner K: A Self-Tuning DVS Processor Using Delay-Error Detection and Correction. Symposium on VLSI Circuits (2005)
  5. Ernst D, Kim N S, Das S, Pant S, Rao R, Pham T, Ziesler C, Blaauw D, Austin T, Flautner K, Mudge T: Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation. 36th International Symposium on Microarchitecture (2003)
  6. Lu S-L: Speeding up Processing with Approximation Circuits. IEEE Computer, 37(3), (2004)
  7. Sato T, Arita I: Constructive Timing Violation for Improving Energy Efficiency. inBenini L, Kandemir M, Ramanujam J: Compilers and Operating Systems for Low Power. Kluwer
  8. Academic Publishers (2003)
  9. Sato T, Kunitake Y: A Simple Flip-Flop Circuit for Typical-Case Designs for DFM. 8th International Symposium on Quality Electronic Design (2007)
  10. Shanbhag N R: Reliable and Efficient System-on-chip Design. IEEE Computer, 37(3), (2004)
  11. Uht A K: Going beyond Worst-case Specs with TEAtime. IEEE Computer, 37(3), (2004)
  12. Mitra S, Seifert N, Zhang M, Shi Q, Kim K S: Robust System Design with Built-In Soft- Error Resilience. IEEE Computer, 38(2), (2005)
  13. Kunitake, T.?Sato and H. Yasuura, ?A Replacement Strategy for Canary Flip-Flops? PRDC, vol. 4, 227-228 (2010).
  14. The Scientist and Engineer's Guide to Digital Signal Processing By Steven W. Smith.
  15. Matsui et al., 1994Parameswar et al., 1996Clark et al., 2001Liao and Roberts, 2002 Chang et al ?2009.
  16. Jebashini, R. Uma, P. Dhavachelvan and Hon Kah Wye?A Survey and Comparative Analysis of Multiply-Accumulate (MAC) Block for Digital Signal Processing Application on ASIC and FPGA? Science Alert, July 2015.
  17. Das, S. Pant, R. David, S. Lee and D. Blaauw ?A Self-Tuning DVS Processor Using Delay-Error Detection and Correction,? JSSC, 792?804 (2006).
  18. Fuketa, M. Hashimoto, Y. Mitsuyama and T. Onoye, ?Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction,? ASP-DAC, NJ, USA, 266?271 (2009)
  19. Fuketa, M. Hashimoto, Y. Mitsuyama and T. Onoye, ?Adaptive Performance Compensation With In-Situ Timing Error Predictive Sensors for Sub threshold Circuits? Transaction on VLSI Systems IEEE J., 1 - 11, (2011).

[Jasmer Singh, Saha K and GL Pahuja. (2017); AN IN-SITU TIMING-ERROR PREDICTION AND PREVENTION TECHNIQUE FOR VARIATION-TOLERANT MAC-UNIT. Int. J. of Adv. Res. 5 (Jul). 1772-1781] (ISSN 2320-5407). www.journalijar.com


Jasmer Singh


DOI:


Article DOI: 10.21474/IJAR01/4898      
DOI URL: http://dx.doi.org/10.21474/IJAR01/4898